本来要求是用Max+PlusII综合仿真的,结果提前做好没事儿做了,所以老师让我试试看用Synplify综合,用Max+PlusII仿真。

所以就得到两个仿真结果了。

源代码分三部分七个文件:

顶层文件:multi8x8.vhd

加法器模块文件:adder8b.vhd、adder4b.vhd

移位器模块文件:reg16.vhd、sreg8b.vhd

还有个被模块化的四位的加法器:andarith.vhd、arictl.vhd

源代码后面会贴出来,下面两个包依次是用Max+PlusII和Synplify仿真出来的:

Max+PlusII:[file][attach]1[/attach][/file]

Synplify:[file][attach]2[/attach][/file]

下面是顶层文件的源代码:

[quote]

library ieee;

use ieee.std_logic_1164.all;

entity multi8x8 is

port(clk:in std_logic;start:in std_logic;